it编程 > 硬件开发 > fpga开发

【机组】基于FPGA的32位算术逻辑运算单元的设计(EP2C5扩充选配类)

71人参与 2024-08-01 fpga开发

⏰诗赋清音:云生高巅梦远游, 星光点缀碧海愁。 山川深邃情难晤, 剑气凌云志自修。

目录

一、实验目的

二、实验要求

三、实验说明

四、实验步骤

实验一 不带进位位逻辑或运算实验

实验二 不带进位位加法运算实验

实验三  带进位的加法运算实验

实验四  数据输入通用寄存器

实验五  寄存器内容无进位位左移实验

实验六  寄存器内容无进位位右移实验

实验七  32位alu实验

实验八  32位寄存器实验

实验九   32位寄存器组实验

实验十  32位程序计数器 pc实验 

实验十一   中断控制实验

📝总结


一、实验目的

1、掌握运算器的数据传输方式。

2、掌握74ls181的功能和应用。

3、学习并掌握利用fpga器件通过原理图进行算术逻辑单元的设计。

二、实验要求

1、完成32位不带进位位算术、逻辑运算实验。按照实验步骤完成实验项目,了解算术逻辑运算单元的运行过程。

2、通过原理图配置ep2c5的内部电路结构,使其替代分离的算术逻辑运算单元的设计。

三、实验说明

1alu单元实验构成

(1)运算器由8片74ls181构成32位字长的alu单元。

(2)8片74ls374作为数据锁存器,8片74ls244作为数据驱动器,8个8芯插座作为数据输入端,可通过长8芯扁平电缆,把数据输入端连接到二进制开关上。

运算器的数据输出由4片74ls244(输出缓冲器)来控制,4个8芯插座作为数据输出端,由开关控制显示32位输出结果。可通过8芯扁平电缆线把数据输出端连接到微指令led灯上。

2、alu单元的工作原理

clk有上升沿时,把来自数据总线的两路32位数据打入输入锁存器。

算术逻辑运算单元的核心是由8片74ls181组成,它可以进行2个32位二进制数的算术逻辑运算,74ls181的各种工作方式可通过设置其控制信号来实现(s0、s1、s2、s3、m、cn)。当实验者正确设置了74ls181的各个控制信号,74ls181会运算数据锁存器dr1(对应通道1数据)、dr2(对应通道2的数据)内的数据。由于dr1、dr2已经把数据锁存,只要74ls181的控制信号不变,那么74ls181的输出数据也不会发生改变。

输出缓冲器采用4片74ls244,当控制信号alu-o为低电平时,两片74ls244导通,把74ls181的运算低位结果输出到数据总线,并在微指令单元led上显示。

3、控制信号说明

信号名称

作 

有效电平

clk

寄存器工作脉冲

上升沿有效

s0~s3

74ls181工作方式选择

见附表

m

选择逻辑或算术运算

高电平为逻辑运算,低电平为算术运算

cn

有无进位输入

高电平有效

clk

进位寄存器的工作脉冲

上升沿有效

alu-out

74ls181计算结果16位输出至总线

低电平有效

四、实验步骤

实验一 不带进位位逻辑或运算实验

将右板中间的计算机组成原理连线板拔下,换成8位/16位/32位计算机组成原理与系统结构 扩展板。

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入通道1)。

把io5(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j3插座相连(对应二进制开关s1~s8);把io6(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j13插座相连(对应二进制开关s33~s48);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j14插座相连(对应二进制开关s49~s56);把io14(8芯的盒型插座,fpga扩展实验区)与母板上的二进制开关单元中j01插座相连(对应二进制开关h16~h23)。(s1~s8,s33~s48,s49~s56,h16~h23为32位数据输入通道2)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

把io188(clk)用连线连到脉冲单元的pls1上作为输入寄存器控制时钟信号。

把io191(alu-o)用连线连到二进制单元的h6上作为输出允许控制信号。

把io193(s3), io197(s2), io168(s1), io164(s0)用连线分别连到二进制单元的h5,h4,h3,h2上用于控制74ls181的工作方式。

把io162(m)用连线连到二进制单元的h1上用于控制74ls181的算术运算还是逻辑运算。

把io160(cn)用连线连到二进制单元的h0上用于控制74ls181的带进位还是不带进位。

fpga扩展板接口

左侧板接口

i01(输入a[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入a[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入a[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入a[7:0]位)

j10(扩展板二进制开关s40-s47

i05(输入b[31:24]位)

j1(扩展板二进制开关s1-s8

i06(输入b[23:16]位)

 j13(扩展板二进制开关s33-s48

io13(输入b[15:8]位)

j14(扩展板二进制开关s49-s56

io14(输入b[7:0]位)

j01(母板二进制开关h16-h23

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io191(输出使能alu-o

母板二进制开关h6

io193(s3), io197(s2), io168(s1), io164(s0

母板二进制开关h5,h4,h3,h2

io162(工作模式选择m

母板二进制开关h1

io160(进位模式选择cn

母板二进制开关h0

把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验1 不带进位位逻辑或运算\alu32文件夹中的alu32.sof程序下载到fpga。

二进制开关作为数据输入通道1,置33333333h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

0

1

1

0

0

1

1

33h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

0

1

1

0

0

1

1

33h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

0

1

1

0

0

1

1

33h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

0

1

1

0

0

1

1

33h

二进制开关作为数据输入通道2,置55555555h(对应开关如下表)。

s1

s2

s3

s4

s5

s6

s7

s8

数据总线值

b31

b30

b29

b28

b27

b26

b25

b24

b[31:24]位数据

0

1

0

1

0

1

0

1

55h

s33

s34

s35

s36

s37

s38

s39

s48

数据总线值

b23

b22

b21

b20

b19

b18

b17

b16

b[23:16]位数据

0

1

0

1

0

1

0

1

55h

s49

s50

s51

s52

s53

s54

s55

s56

数据总线值

b15

b14

b13

b12

b11

b10

b9

b8

b[15:8]位数据

0

1

0

1

0

1

0

1

55h

h23

h22

h21

h20

h19

h18

h17

h16

数据总线值

b7

b6

b5

b4

b3

b2

b1

b0

b[7:0]位数据

0

1

0

1

0

1

0

1

55h

置各控制信号如下:

h6

h5

h4

h3

h2

h1

h0

alu-0

s3

s2

s1

s0

m

cn

0

1

1

1

0

1

0

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿,把33333333h以及55555555h打入输入数据锁存器。

经过74ls181的计算,把运算结果(f=a或b)输出到数据总线上,数据总线上的led灯显示灯显示77777777h。

实验二 不带进位位加法运算实验

将右板中间的计算机组成原理连线板拔下,换成8位/16位/32位计算机组成原理与系统结构 扩展板。

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入通道1)。

把io5(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j1插座相连(对应二进制开关s1~s8);把io6(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j13插座相连(对应二进制开关s33~s48);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j14插座相连(对应二进制开关s49~s56);把io14(8芯的盒型插座,fpga扩展实验区)与母板上的二进制开关单元中j01插座相连(对应二进制开关h16~h23)。(s1~s8,s33~s48,s49~s56,h16~h23为32位数据输入通道2)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

把io188(clk)用连线连到脉冲单元的pls1上作为输入寄存器控制时钟信号。

把io191(alu-o)用连线连到二进制单元的h6上作为输出允许控制信号。

把io193(s3), io197(s2), io168(s1), io164(s0)用连线分别连到二进制单元的h5,h4,h3,h2上用于控制74ls181的工作方式。

把io162(m)用连线连到二进制单元的h1上用于控制74ls181的算术运算还是逻辑运算。

把io160(cn)用连线连到二进制单元的h0上用于控制74ls181的带进位还是不带进位。

把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验2 不带进位加法运算\alu32文件夹中的alu32.sof程序下载到fpga。

二进制开关作为数据输入通道1,置33333333h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

0

1

1

0

0

1

1

33h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

0

1

1

0

0

1

1

33h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

0

1

1

0

0

1

1

33h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

0

1

1

0

0

1

1

33h

二进制开关作为数据输入通道2,置55555555h(对应开关如下表)。

s1

s2

s3

s4

s5

s6

s7

s8

数据总线值

b31

b30

b29

b28

b27

b26

b25

b24

b[31:24]位数据

0

1

0

1

0

1

0

1

55h

s33

s34

s35

s36

s37

s38

s39

s48

数据总线值

b23

b22

b21

b20

b19

b18

b17

b16

b[23:16]位数据

0

1

0

1

0

1

0

1

55h

s49

s50

s51

s52

s53

s54

s55

s56

数据总线值

b15

b14

b13

b12

b11

b10

b9

b8

b[15:8]位数据

0

1

0

1

0

1

0

1

55h

h23

h22

h21

h20

h19

h18

h17

h16

数据总线值

b7

b6

b5

b4

b3

b2

b1

b0

b[7:0]位数据

0

1

0

1

0

1

0

1

55h

置各控制信号如下:

h6

h5

h4

h3

h2

h1

h0

alu-0

s3

s2

s1

s0

m

cn

0

1

0

0

1

0

1

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿,把33333333h及55555555h打入输入数据锁存器。

经过74ls181的计算,把运算结果(f=a+b)输出到数据总线上,数据总线上的led显示灯应该显示88888888h。

附74ls181的逻辑(改变程序可设置不同的工作方式)

方式

m=1逻辑运算

m=0算术运算

s3 s2 s1 s0

逻辑运算

cn=1(无进位)

cn=0(有进位)

0000

f=/a

f=a

f=a加1

0001

f=/(a+b)

f=a+b

f=(a+b)加1

0010

f=/a b

f=a+/b

f=(a+/b)加1

0011

f=0

f=减1(2的补)

f=0

0100

f=/(ab)

f=a加a/b

f=a加a/b加1

0101

f=/b

f=(a+b)加a/b

f=(a+b)加a/b加1

0110

f=a⊕b

f=a减b减1

f=a减b

0111

f=a /b

f=a/b减1

f=a/b

1000

f=/a+b

f=a 加ab

f=a 加ab加 1

1001

f= /(a⊕b )

f=a加b

f=a加b加1

1010

f=b

f=(a+/b)加ab

f=(a+/b)加ab加1

1011

f=ab

f=ab减1

f=ab

1100

f=1

f=a加 a

f=a加 a 加1

1101

f=a+/b

f=(a+b) 加 a

f=(a+b) 加 a 加1

1110

f=a+b

f=(a+/b)加a

f=(a+/b)加a加1

1111

f=a

f=a减1

f=a

实验三  带进位的加法运算实验

进位电路与通用寄存器、alu有着非常紧密的关系,算术逻辑单元的进位输出和通用寄存器带进位移动都会影响进位寄存器中的结果。

若实验者在做算术逻辑实验时,选择了算术运算方式,当alu的计算结果输出至总线时,在cck上来一个上升沿,将把74ls181的进位输出位(cn+4)上的值(为了统一进位标识,1表示有进位,0表示无进位),打入进位寄存器中,并且有进位时led(cy)发光。

fpga扩展板接口

左侧板接口

i01(输入a[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入a[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入a[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入a[7:0]位)

j10(扩展板二进制开关s40-s47

i05(输入b[31:24]位)

j1(扩展板二进制开关s1-s8

i06(输入b[23:16]位)

 j13(扩展板二进制开关s33-s48

io13(输入b[15:8]位)

j14(扩展板二进制开关s49-s56

io14(输入b[7:0]位)

j01(母板二进制开关h16-h23

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io191(输出使能alu-o

母板二进制开关h6

io193(s3), io197(s2), io168(s1), io164(s0

母板二进制开关h5,h4,h3,h2

io162(工作模式选择m

母板二进制开关h1

io160(进位模式选择cn

母板二进制开关h0

3.1 控制信号说明

信号名称

作 

有效电平

clk

输入寄存器工作脉冲

上升沿有效

s0~s3

74ls181工作方式选择

见附表

m

选择逻辑或算术运算

低电平为逻辑运算,高电平为算术运算

cn

有无进位输入

高电平有效

alu-out

74ls181计算结果16位输出至总线

低电平有效

3.2实验步骤

将右板中间的计算机组成原理连线板拔下,换成8位/16位/32位计算机组成原理与系统结构 扩展板。

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入通道1)。

把io5(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j1插座相连(对应二进制开关s1~s8);把io6(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j13插座相连(对应二进制开关s33~s48);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j14插座相连(对应二进制开关s49~s56);把io14(8芯的盒型插座,fpga扩展实验区)与母板上的二进制开关单元中j01插座相连(对应二进制开关h16~h23)。(s1~s8,s33~s48,s49~s56,h16~h23为32位数据输入通道2)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

把io188(clk)用连线连到脉冲单元的pls1上作为输入寄存器控制时钟信号。

把io191(alu-o)用连线连到二进制单元的h6上作为输出允许控制信号。

把io193(s3), io197(s2), io168(s1), io164(s0)用连线分别连到二进制单元的h5,h4,h3,h2上用于控制74ls181的工作方式。

把io163(m)用连线连到二进制单元的h1上用于控制74ls181的算术运算还是逻辑运算。

把io162(cn)用连线连到二进制单元的h0上用于控制74ls181的带进位还是不带进位。

把io161(cck)用连线连到连到脉冲单元的pls4上。

把io160(cy)用连线连到母板微指令单元m15上,作为进位输出指示。

fpga扩展板接口

左侧板接口

i01(输入a[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入a[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入a[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入a[7:0]位)

j10(扩展板二进制开关s40-s47

i05(输入b[31:24]位)

j1(扩展板二进制开关s1-s8

i06(输入b[23:16]位)

 j13(扩展板二进制开关s33-s48

io13(输入b[15:8]位)

j14(扩展板二进制开关s49-s56

io14(输入b[7:0]位)

j01(母板二进制开关h16-h23

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io191(输出使能alu-o

母板二进制开关h6

io193(s3), io197(s2), io168(s1), io164(s0

母板二进制开关h5,h4,h3,h2

io163(工作模式选择m

母板二进制开关h1

io162(进位模式选择cn

母板二进制开关h0

io161(cck

时序单元pls4

io160(进拉输出cy

微指令单元m15

将右板中间的计算机组成原理连线板拔下,把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验3 带进位位的加法运算\alu32文件夹中的alu32.sof程序下载到fpga。

二进制开关作为数据输入通道1,置65656565h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

1

1

0

0

1

0

1

65h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

1

1

0

0

1

0

1

65h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

1

1

0

0

1

0

1

65h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

1

1

0

0

1

0

1

65h

二进制开关作为数据输入通道2,置a7a7a7a7h(对应开关如下表)。

s1

s2

s3

s4

s5

s6

s7

s8

数据总线值

b31

b30

b29

b28

b27

b26

b25

b24

b[31:24]位数据

1

0

1

0

0

1

1

1

a7h

s33

s34

s35

s36

s37

s38

s39

s48

数据总线值

b23

b22

b21

b20

b19

b18

b17

b16

b[23:16]位数据

1

0

1

0

0

1

1

1

a7h

s49

s50

s51

s52

s53

s54

s55

s56

数据总线值

b15

b14

b13

b12

b11

b10

b9

b8

b[15:8]位数据

1

0

1

0

0

1

1

1

a7h

h23

h22

h21

h20

h19

h18

h17

h16

数据总线值

b7

b6

b5

b4

b3

b2

b1

b0

b[7:0]位数据

1

0

1

0

0

1

1

1

a7h

置各控制信号如下:

h6

h5

h4

h3

h2

h1

h0 

alu-0

s3

s2

s1

s0

m

cn

0

1

0

0

1

0

1

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿的脉冲,把65656565h及a7a7a7a7h打入输入数据锁存器。

按脉冲单元中的pls4脉冲按键,在cck上产生一个上升沿,把74ls181的进位打入进位寄存器中,在有进位的情况下,cy指示灯m15亮,并且数据总线上的led显示灯应该显示0d0d0d0ch。


实验四  数据输入通用寄存器

一、实验目的

了解通用32位寄存器的组成和硬件电路,利用通用寄存器实现数据的置数、左移、右移等功能。

二、实验要求

按照实验步骤完成实验项目, 实现通用寄存器移位操作。了解通用寄存器单元的工作原理运用。

三、实验说明

1、寄存器实验构成:

通用寄存器由4片74ls198构成32位字长的寄存器单元。四个8芯插座作为数据输入端,可通过8芯数据电缆,把数据输入端连接到二进制开关总线上。

数据输出由4片74ls244(输出缓冲器)来控制。用32个fpga io作为数据输出端,通过32根数据线连接到微指令的led灯上。

2、通用寄存器单元的工作原理

通用寄存器单元的核心部件为4片74ls198,它具有锁存、左移、右移、保存等功能。各个功能都由x1、x2信号和工作脉冲clk来决定。x0=1、x1=1,clk有上升沿时,把总线上的数据打入通用寄存器。可通过设置x0、x1来指定通用寄存器工作方式。

输出缓冲器采用74ls244,把通用寄存器内容输出到总线。

3、控制信号说明

信号名称

作     

有效电平

clk

通用寄存器工作脉冲

上升沿有效

四、实验步骤

将右板中间的计算机组成原理连线板拔下,换成8位/16位/32位计算机组成原理与系统结构 扩展板。

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188,用数据线与左板二进制开关单元pls1连接,对应74ls198clk时钟控制信号。

将fpga扩展板接口io193,用数据线与扩展板h57连接。

本实验增加了数码管显示输入输出寄存器功能,若要数码管与二进制led灯同时显示,请进行如下连接:

将将fpga扩展板接口io5与扩展板j9相连,io6与j6相连,io13与j7相连,io14与j8相连。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入a[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入a[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入a[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入a[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

io5(输入数码管数据)

j9

io6(输出数码管扫描选通)

j6

io13(输出数码管数据)

j7

io14(输入数码管扫描选通)

j8

io188(输入寄存器时钟clk

时序单元pls1

io193(数码管复位)

h57

把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验4 数据输入通用寄存器文件夹中的regist32.sof程序下载到cpld。

二进制开关作为数据输入通道,置42424242h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

1

0

0

0

0

1

0

42h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

1

0

0

0

0

1

0

42h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

1

0

0

0

0

1

0

42h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

1

0

0

0

0

1

0

42h

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿,把42424242h打入通用寄存器,此时微指令总线上的指示灯应该显示为42424242h。

实验五  寄存器内容无进位位左移实验

一、通用寄存器单元的工作原理:

通用寄存器单元的核心部件为4片74ls198,它具有锁存、左移、右移、保存等功能。各个功能都由x1、x2信号和工作脉冲clk来决定。x0=1、x1=1,clk有上升沿时,把总线上的数据打入通用寄存器。可通过设置x0、x1来指定通用寄存器工作方式。

输出缓冲器采用74ls244,,把通用寄存器内容输出到总线。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188,用数据线与右板二进制开关单元pls1连接,对应74ls198clk时钟控制信号。

将fpga扩展板接口io191,用数据线与右板二进制开关单元h3连接,对应74ls198输出控制信号。

将fpga扩展板接口io193,用数据线与右板二进制开关单元h2连接,对应74ls198x1控制信号。

将fpga扩展板接口io197,用数据线与右板二进制开关单元h1连接,对应74ls198x0控制信号。

将fpga扩展板接口io160,用数据线与左板二进制开关单元h0连接,对应74ls198clr清0控制信号。

将fpga扩展板接口io162,用数据线与h57连接,对应74ls198clk时钟控制信号。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

i0188(寄存器工作脉冲)

时序单元pls1

i0191(out

h3(二进制开关)

i0193(x1

h2(二进制开关)

i0197(x0

h1(二进制开关)

i0160(clr

h0(二进制开关)

io162(nrst

h57

把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验5 寄存器内容无进位位左移文件夹中的regist32.sof程序下载到fpga。

二进制开关作为数据输入通道,置42424242h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

1

0

0

0

0

1

0

42h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

1

0

0

0

0

1

0

42h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

1

0

0

0

0

1

0

42h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

1

0

0

0

0

1

0

42h

置各控制信号如下:

h3

h2

h1

h0

out

x1

x0

clren

0

1

1

1

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿,把42424242h打入通用寄存器,此时微指令总线上的指示灯应该显示为42424242h,

再改变各控制信号如下:

h3

h2

h1

h0

out

x1

x0

clren

0

0

1

1

按脉冲单元中的pls1脉冲按键,此时总线上的led指示灯应该显示84848484h。

再按脉冲单元中的pls1脉冲按键,使通用寄存器中的值左移,此时总线上的led指示灯应该显示为09090909h。若一直按pls1,在总线上将看见数据循环左移的现象。

实验六  寄存器内容无进位位右移实验

一、通用寄存器单元的工作原理:

通用寄存器单元的核心部件为4片74ls198,它具有锁存、左移、右移、保存等功能。各个功能都由x1、x2信号和工作脉冲clk来决定。x0=1、x1=1,clk有上升沿时,把总线上的数据打入通用寄存器。可通过设置x0、x1来指定通用寄存器工作方式。

输出缓冲器采用74ls244,,把通用寄存器内容输出到总线。

控制信号说明

信号名称

作     

有效电平

x0、x1

通用寄存器的工作模式

见附表

out

16位输出控制信号

低电平

clk

通用寄存器工作脉冲

上升延有效

二、实验步骤

将右板中间的计算机组成原理连线板拔下,换成8位/16位/32位计算机组成原理与系统结构 扩展板。

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188,用数据线与右板二进制开关单元pls1连接,对应74ls198clk时钟控制信号。

将fpga扩展板接口io191,用数据线与右板二进制开关单元h3连接,对应74ls198输出控制信号。

将fpga扩展板接口io193,用数据线与右板二进制开关单元h2连接,对应74ls198x1控制信号。

将fpga扩展板接口io197,用数据线与右板二进制开关单元h1连接,对应74ls198x0控制信号。

将fpga扩展板接口io160,用数据线与左板二进制开关单元h0连接,对应74ls198clr清0控制信号。

将fpga扩展板接口io162,用数据线与h57连接,对应74ls198clk时钟控制信号。实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出[31:24]位)

j3(扩展板l17-l24

i08(输出[23:16]位)

j11(扩展板l42-l57

io15(输出[15:8]位)

j12(扩展板l58-l65

io16(输出[7:0]位)

m04(母板l1-l8

i0188(寄存器工作脉冲)

时序单元pls1

i0191(out

h3(二进制开关)

i0193(x1

h2(二进制开关)

i0197(x0

h1(二进制开关)

i0160(clr

h0(二进制开关)

io162(nrst

h57

把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验6 寄存器内容无进位位右移文件夹中的regist32.sof程序下载到fpga。

二进制开关作为数据输入,置42424242h(对应开关如下表)。

s9

s10

s11

s12

s13

s14

s15

s16

数据总线值

a31

a30

a29

a28

a27

a26

a25

a24

a[31:24]位数据

0

1

0

0

0

0

1

0

42h

s17

s18

s19

s20

s21

s22

s23

s24

数据总线值

a23

a22

a21

a20

a19

a18

a17

a16

a[23:16]位数据

0

1

0

0

0

0

1

0

42h

s25

s26

s27

s28

s29

s30

s31

s32

数据总线值

a15

a14

a13

a12

a11

a10

a9

a8

a[15:8]位数据

0

1

0

0

0

0

1

0

42h

s40

s41

s42

s43

s44

s45

s46

s47

数据总线值

a7

a6

a5

a4

a3

a2

a1

a0

a[7:0]位数据

0

1

0

0

0

0

1

0

42h

置各控制信号如下:

h3

h2

h1

h0

out

x1

x0

clren

0

1

1

1

按脉冲单元中的pls1脉冲按键,在clk上产生一个上升沿,把42424242h打入通用寄存器,此时微指令总线上的指示灯应该显示为42424242h,

再改变各控制信号如下:

h3

h2

h1

h0

out

x1

x0

clren

0

1

0

1

按脉冲单元中的pls1脉冲按键,此时总线上的led指示灯应该显示为21212121h。

按脉冲单元中的pls1脉冲按键,使通用寄存器中的值右移,此时总线上的led指示灯应该显示为90909090h。若一直按pls1,在总线上将看见数据循环右移的现象。

附:通用寄存器的逻辑

通用寄存器(8位并入并出移位寄存器)

clr

x1   x0

clk

sl  sr

qa~ah

0

x    x

x

x   x

全 0

1

x    x

0

x   x

保持不变

1

1     1

上升沿

x   x

并行接数a~h

1

0     1

上升沿

x   0

右移 移入0

1

0     1

上升沿

x   1

右移 移入1

1

1     0

上升沿

0   x

左移 移入0

1

1     0

上升沿

1   x

左移 移入1

实验七  32位alu实验

一、实验要求

用扩展实验板上的开关做为输入,二进制开关及八段数码管做为输出。用vhdl语言编写程序下载到ep2c5q08中实现32位模型机的alu功能。

了解32位模型机中算术逻辑运算单元alu的工作原理和实现方法。学习用vhdl语言描述硬件逻辑,学习使用eda开发环境。

二、实验目的

32位alu的vhdl:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity alu32 is

port (

  a         : in    std_logic_vector(32 downto 1); 

  w         : in    std_logic_vector(32 downto 1);  

  s0        : in    std_logic;                     

  s1        : in    std_logic;                     

  s2        : in    std_logic;                    

  d         : out   std_logic_vector(32 downto 1); 

  cin       : in    std_logic;                    

  cout      : out   std_logic                      

);

end alu32;

architecture behv of alu32 is

signal t: std_logic_vector(33 downto 1);    

begin

    t <= ('0' & a) + ('0' & w)          when s2 = '0' and s1 = '0' and s0 = '0' else

         ('0' & a) - ('0' & w)          when s2 = '0' and s1 = '0' and s0 = '1' else

         ('0' & a) or ('0' & w)         when s2 = '0' and s1 = '1' and s0 = '0' else

         ('0' & a) and ('0' & w)        when s2 = '0' and s1 = '1' and s0 = '1' else

         ('0' & a) + ('0' & w) + cin when s2 = '1' and s1 = '0' and s0 = '0' else

         ('0' & a) - ('0' & w) - cin   when s2 = '1' and s1 = '0' and s0 = '1' else

               not ('0' & a)                  when s2 = '1' and s1 = '1' and s0 = '0' else

               ('0' & a);

       d    <= t(32 downto 1);

       cout <= t(33);

end behv;

在上面程序中a为累加器,w为工作寄存器,均为32位寄存器。w的值由扩展板上j1,j13,j14及母板上j01对应的四组开关共32位输入,显示在对应的二进制led灯上。a的值由扩展板j2,j4,j5,j10对应的四组二进制开关输入。s0 s1 s2为运算控制位,根据s0 s1 s2 的不同,alu实现的功能参见下表。d为运算结果输出显示在扩展板j3,j11,j12及母板m04开关组对应的32位二进制led上, cin为进位输入, cout为进位输出。

s2

s1

s0

alu实现的功能

0

0

0

运算结果为a加w

0

0

1

运算结果为a减w

0

1

0

运算结果为a逻辑或w

0

1

1

运算结果为a逻辑与w

1

0

0

运算结果为a加w加进位

1

0

1

运算结果为a减w减进位

1

1

0

运算结果为a取反

1

1

1

运算结果为a(a直接输出)

四、实验步骤

1 连线

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入通道1)。

把io5(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j1插座相连(对应二进制开关s1~s8);把io6(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j13插座相连(对应二进制开关s33~s48);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j14插座相连(对应二进制开关s49~s56);把io14(8芯的盒型插座,fpga扩展实验区)与母板上的二进制开关单元中j01插座相连(对应二进制开关h16~h23)。(s1~s8,s33~s48,s49~s56,h16~h23为32位数据输入通道2)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

把io191(s2)用连线连到二进制单元的h7上。把io193(s1)用连线连到二进制单元的h6上。把io197(s0)用连线连到二进制单元的h5上。

把io168(cin)用连线连到母板二进制单元的h4上。

把io164(cout)用连线连到母板微指令单元的m7上。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入a[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入a[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入a[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入a[7:0]位)

j10(扩展板二进制开关s40-s47

i05(输入w[31:24]位)

j1(扩展板二进制开关s1-s8

i06(输入w[23:16]位)

 j13(扩展板二进制开关s33-s48

io13(输入w[15:8]位)

j14(扩展板二进制开关s49-s56

io14(输入w[7:0]位)

j01(母板二进制开关h16-h23

i07(输出d[31:24]位)

j3(扩展板l17-l24

i08(输出d[23:16]位)

j11(扩展板l42-l57

io15(输出d[15:8]位)

j12(扩展板l58-l65

io16(输出d[7:0]位)

m04(母板l1-l8

io191(s2), io193(s1), io197(s0

母板二进制开关h7,h6,h5

io168(进位输入cin

母板二进制开关h4

io164(进位输出cout

母板母板微指令单元m7

2.充分理解alu32.vhd。了解模型机中alu的实现原理。

3.把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验7 32位alu实验文件夹中的alu32.sof程序下载到fpga。

4.拨动w对应开关量(扩展板s1-s8,s33-s48,s49-s56,母板h16-h23),输入w值。拨动a对应开关量(扩展板s9-s32, s40-s47),输入a值。拨动h7,h6,h5对应开关,设置运算方式。拨动开关h4,设置进位。观察输入a及w值,观察微指令单元二进制led指示结果(运算结果)。观察发光二极管l17是否有进位输出。

实验八  32位寄存器实验

一、实验要求 

用计算机组成原理与系统结构扩展实验板上的开关做为输入,发光二极光及八段数码管做为输出。用vhdl语言编写程序下载到ep2c5q208中实现32位模型机的寄存器输入输出功能。

二、实验目的

了解32位模型机中寄存器的工作原理和实现方法。学习用vhdl语言描述硬件逻辑。学习使用eda开发环境。

三、实验说明

32位寄存器的vhdl:

library ieee;

use ieee.std_logic_1164.all;

entity reg32_1 is

port (

  d  : in  std_logic_vector(32 downto 1); 

  r  : out std_logic_vector(32 downto 1);

  en : in  std_logic;                         

  nrst: in  std_logic;                         

  clk: in  std_logic                         

);

end  reg32_1;

architecture behv of reg32_1 is

begin

  process(clk, nrst, en)

  begin

        if nrst = '0' then

      r <= (others=>'0');

        elsif clk'event and clk = '1' then

      if (en = '0') then

        r <= d;

      end if;

    end if;

  end process;

end behv;

在上面程序中d为输入数据,r为寄存器,均为32位。d的值由扩展板上j2,j4,j5,j10对应的四组开关共32位提供输入,同时显示在dis9-dis16八个数码管上。r为内部寄存器,显示在j3,j11,j12,m04对应的二进制led灯上,同时显示在dis1-dis8八个数码管上。en为寄存器选通信号,rst为复位信号上,clk为时钟脉冲。

四、实验步骤

1 连线

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入数据)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188(clk),用数据线与母板二进制开关单元pls1连接。

将fpga扩展板接口io191(en),用数据线与母板二进制开关单元h7连接。

将fpga扩展板接口io193(nrst),用数据线与h57连接。

本实验增加了数码管显示输入输出寄存器功能,若要数码管与二进制led灯同时显示,请进行如下连接:

将fpga扩展板接口io5与扩展板j9相连,io6与j6相连,io13与j7相连,io14与j8相连。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入d[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入d[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入d[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入d[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出r[31:24]位)

j3(扩展板l17-l24

i08(输出r[23:16]位)

j11(扩展板l42-l57

io15(输出r[15:8]位)

j12(扩展板l58-l65

io16(输出r[7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io191(en

h7

io193(nrst

h57

io5(输入d数码管数据)

j9

io6(输出r数码管扫描选通)

j6

io13(输出r数码管数据)

j7

io14(输入d数码管扫描选通)

j8

2.充分理解reg3_1.vhd。了解模型机中reg的实现原理。

3.把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验8 32位寄存器实验 文件夹中的reg32_1.sof程序下载到fpga。

4.按下sb1键,输出“复位”信号,观察八位八段管 (r寄存器的输出显示)是否清零;松开sb1。拨动s9-s32,s40-s47(输入数据 d的值),观察八位八段管dis9-dis16 (显示d值)的变化。拨动h7正下方对应开关,设置寄存器选通信号“en”为有效状态(“0”有效),按pls1键,产生一个时钟信号,观察j3,j11,j12,m04对应的二进制led灯及dis1-dis8八个数码管,看看是否将d的值存入寄存器r中并显示出来。拨动开关组j2,j4,j5,j10改变d的值,再将“en”置于无效状态(“1”位置),按pls1键,产生一个时钟信号,观察j3,j11,j12,m04对应的二进制led灯及dis1-dis8八个数码管是否会随着改变。

实验九   32位寄存器组实验

一、实验要求 

用计算机组成原理与系统结构扩展实验板上的开关做为输入,发光二极光及八段数码管做为输出。用vhdl语言编写程序下载到ep2c5q208中实现32位模型机的多个寄存器输入输出功能。

二、实验目的

了解32位模型机中寄存器组的工作原理和实现方法。学习用vhdl语言描述硬件逻辑。学习使用eda开发环境。

三、实验说明

32位寄存器组的vhdl:

library ieee; 
use ieee.std_logic_1164.all; 
entity regs32 is 
port ( 
  d  : in  std_logic_vector(32 downto 1);  
  r  : out std_logic_vector(32 downto 1);  
  sa : in  std_logic;                            
  sb : in  std_logic;                          
  rd : in  std_logic;                          
  wr : in  std_logic;                          
  nrst: in  std_logic;                         
  clk: in  std_logic                          
); 
end regs32; 
architecture behv of regs32 is 
signal r0, r1, r2, r3: std_logic_vector(32 downto 1); 
begin 
--wirte r0、r1、r2、r3 
  -- r0 
  process(clk,nrst,wr,sa,sb) 
  begin 
        if nrst = '0' then 
      r0 <= (others=>'0'); 
        elsif clk'event and clk = '1' then 
            if (wr = '0') and (sb = '0') and (sa = '0') then 
        r0 <= d; 
              end if; 
    end if; 
  end process; 
  -- r1 
  process(clk,nrst,wr,sa,sb) 
  begin 
        if nrst = '0' then 
      r1 <= (others=>'0'); 
        elsif clk'event and clk = '1' then 
            if (wr = '0') and (sb = '0') and (sa = '1') then 
        r1 <= d; 
      end if; 
    end if; 
  end process; 
  -- r2 
  process(clk,nrst,wr,sa,sb) 
  begin 
        if nrst = '0' then 
      r2 <= (others=>'0'); 
        elsif clk'event and clk = '1' then 
            if (wr = '0') and (sb = '1') and (sa = '0') then 
        r2 <= d; 
      end if; 
    end if; 
  end process; 
  -- r3 
  process(clk,nrst,wr,sa,sb) 
  begin 
        if nrst = '0' then 
      r3 <= (others=>'0'); 
        elsif clk'event and clk = '1' then 
            if (wr = '0') and (sb = '1') and (sa = '1') then 
        r3 <= d; 
      end if; 
    end if; 
  end process; 
  --read r0、r1、r2、r3 
    r <= r0 when (sb = '0') and (sa = '0') and (rd = '0') else 
              r1 when (sb = '0') and (sa = '1') and (rd = '0') else 
              r2 when (sb = '1') and (sa = '0') and (rd = '0') else 
              r3 when (sb = '1') and (sa = '1') and (rd = '0') else 
       (others=>'0'); 
end behv;

在上面程序中,d为输入32位数据寄存器。d的值由扩展板上j2,j4,j5,j10对应的四组开关共32位提供输入,同时显示在dis9-dis16八个数码管上。r0..r3为内部寄存器,r用做内部寄存器显示输出,显示在j3,j11,j12,m04对应的二进制led灯上,同时显示在dis1-dis8八个数码管上。sa、sb为寄存器选择控制信号,rd为寄存器读信号,wr为寄存器写信号,nrst为复位信号,clk为时钟脉冲。

四、实验步骤

1.连线

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入)。

把io5(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j9插座相连(对应led灯,l17-l24);把io6(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j6插座相连(对应led灯l42-l57);把io13(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j7插座相连(对应led灯,l58-l65),把io14(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元j8插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188(clk),用数据线与右板二进制开关单元pls1连接,对应clk。

将fpga扩展板接口io193(nrst),用数据线与扩展板按键开关h57连接。

将fpga扩展板接口io197(sa),用数据线与母板二进制开关单元h7连接。

将fpga扩展板接口io168(sb),用数据线与母板二进制开关单元h6连接。

将fpga扩展板接口io164(rd),用数据线与母板二进制开关单元h5连接。

将fpga扩展板接口io162(wr),用数据线与母板二进制开关单元h4连接。

将将fpga扩展板接口io7与扩展板j3相连,io8与j11相连,io15与j12相连,io16与m04相连。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入d[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入d[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入d[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入d[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出r[31:24]位)

j3(扩展板l17-l24

i08(输出r[23:16]位)

j11(扩展板l42-l57

io15(输出r[15:8]位)

j12(扩展板l58-l65

io16(输出r[7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io193(nrst

h57

io197(sa

h7

io168(sb

h6

io164(rd

h5

io162(wr

h4

io5(输入数码管数据)

j9

io6(输出数码管扫描选通)

j6

io13(输出数码管数据)

j7

io14(输入数码管扫描选通)

j8

2.充分理解regs32.vhd。了解模型机中regs的实现原理。

3.把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验9 32位寄存器组实验 文件夹中的regs32.sof程序下载到fpga。

4.按下sb1键,输出复位信号,观察32位led显示及八位八段管 (r寄存器的输出显示)是否清零;松开sb1。然后将h5(rd信号)、h4(wr信号)位置成“1”状态,使读写信号都处于无效状态。

5.寄存器组写实验:拨动s9-s32,s40-s47,输入d的值,拨动h7,h6,设成“00”,选择寄存器r0。拨动h4,设置寄存器写信号“wr”为有效状态(0有效),按pls1键,产生一个时钟信号,将d写入寄存器r0中。拨动s9-s32,s40-s47开关,改变d值,再改变h7,h6,设成01,选择寄存器r1,按pls1键,产生一个时钟信号,将d存入寄存器r1中。如此将不同的32位数据分别存r0..r3寄存器中;

6.寄存器组读实验:将h4“wr”信号设成“1”,使其无效。将h7,h6拨成“00”,选择寄存器r0。再将h5(“rd”信号)设成“0”,读出r0中的数据并输出到j3,j11,j12,m04对应的发光二极管和八段管dis1-dis8上显示。改变h7,h6,选择寄存器r1,读出r1的值并显示出来。如此读出寄存器r2、r3的值,并观察与写入的数据是否相同。

实验十  32位程序计数器 pc实验 

一、实验要求  

用计算机组成原理与系统结构扩展实验板上的开关做为输入,发光二极光及八段数码管做为输出。用vhdl语言编写程序下载到ep2c5q208中实现32位模型机的程序计数器功能。

二、实验目的

了解32位模型机中程序计数器pc的工作原理和实现方法。学习用vhdl语言描述硬件逻辑。学习使用eda开发环境。

三、实验说明

32位程序计数器pc的vhdl:

library ieee; 
use ieee.std_logic_1164.all; 
use ieee.std_logic_unsigned.all; 
entity pccnt is 
port ( 
  d   : in  std_logic_vector(32 downto 1);  
  pc  : out std_logic_vector(32 downto 1);    
  c   : in  std_logic;                          
  z   : in  std_logic;                          
  elp : in  std_logic;                          
  pc_1: in  std_logic;                           
  ir2 : in  std_logic;                           
  ir3 : in  std_logic;                           
  nrst : in  std_logic;                          
  clk : in  std_logic                          
); 
end pccnt; 
architecture behv of pccnt is 
signal rpc: std_logic_vector(32 downto 1); 
begin 
  pc <= rpc; 
    process(clk, nrst, ir3, ir2, c, z, elp) 
    variable ldpc: std_logic; --  转移控制 
  begin 
        if nrst = '1' then 
      rpc <= (others=>'0'); 
              elsif clk'event and clk = '1' then 
            if (elp = '0') and ( 
                      ( (ir3 = '0') and (ir2 = '0') and (c = '1') ) or 
                      ( (ir3 = '0') and (ir2 = '1') and (z    = '1') ) or 
           (ir3 = '1') 
                  ) then ldpc := '0'; else ldpc := '1'; 
      end if; 
      if ldpc = '0' then 
        rpc <= d; 
      elsif (pc_1 = '1') then   
        rpc <= rpc + 1; 
      end if; 
    end if; 
  end process; 
end behv;

在上面程序中,d为32位输入数据,用于表示跳转条件满足时跳转的目标地址。d的值由扩展板上j2,j4,j5,j10对应的四组开关共32位提供输入,同时显示在dis9-dis16八个数码管上。pc为程序计数器,pc显示在j3,j11,j12,m04对应的二进制led灯上,同时显示在dis1-dis8八个数码管上。 c、z用于模拟模型机中的进位标志和零标志信号。elp为程序跳转控制信号,为“1”时不允许预置pc;为“0”时根据指令码的第3、2位和c、z状态来控制程序是否跳转,见下表说明。pc_1表示pc加1控制信号。ir2、ir3表示程序指令的第2位和第3位,在本模型机实验中这两个用于控制程序的跳转。nrst为复位信号,clk为时钟脉冲。

elp()

ir3()

ir2()

c()

z()

ldpc

1

x

x

x

x

1

0

0

0

1

x

0

0

0

0

0

1

1

0

0

1

x

0

0

0

0

1

x

x

1

0

1

x

x

x

0

上表中ldpc为内部信号,用于控制pc是否能被预置:

当elp=1时,ldpc=1,不允许pc被预置;

当elp=0时,ldpc由ir3、ir2、c、z确定;

当ir3、ir2=1x时,ldpc=0,d的值在clk上升沿锁存pc,实现程序的jmp直接跳转功能; 

当ir3、ir2=00时,ldpc=c取反,当c=1时,d的值在clk上升沿锁存pc,实现程序的jc有进位跳转功能;

当ir3、ir2=01时,ldpc=z取反,当z=1时,d的值在clk上升沿锁存pc,实现程序的jz累加器为零跳转功能;

本实验中nrst=0时,程序计数器pc被清0,当ldpc=0时,在clk上升沿,d的值锁存pc。当pc_1=1  时,在clk上升沿pc加1。

四、实验步骤

1.连线

把io1(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j2插座相连(对应二进制开关s9~s16);把io2(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j4插座相连(对应二进制开关s17~s24);把io9(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j5插座相连(对应二进制开关s25~s32);把io10(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的二进制开关单元中j10插座相连(对应二进制开关s40~s47)。(s9~s16,s17~s24,s25~s32,s40~s47为32位数据输入)。

把io7(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j3插座相连(对应led灯,l17-l24);把io8(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上j11插座相连(对应led灯l42-l57);把io15(8芯的盒型插座,fpga扩展实验区)与计算机组成原理与系统结构扩展板上的j12插座相连(对应led灯,l58-l65),把io16(8芯的盒型插座,fpga扩展实验区)与母板上微指令单元m04插座相连(对应微指令led灯l1-l8),扩展板上l17-l24,l42-l57,l58-l65及母板上l1-l16,为32位数据输出。

将fpga扩展板接口io188(clk),用数据线与右板二进制开关单元pls1连接,对应clk。

将fpga扩展板接口io191(nrst),用数据线与扩展板h57连接。

将fpga扩展板接口io193(elp),用数据线与母板二进制开关单元h7连接。

将fpga扩展板接口io197(pc_1),用数据线与母板二进制开关单元h6连接。

将fpga扩展板接口io168(ir2),用数据线与母板二进制开关单元h5连接。

将fpga扩展板接口io164(ir3),用数据线与母板二进制开关单元h4连接。

将fpga扩展板接口io162(c),用数据线与母板二进制开关单元h3连接。

将fpga扩展板接口io160(z),用数据线与母板二进制开关单元h2连接。

将将fpga扩展板接口io5与扩展板j9相连,io6与j6相连,io13与j7相连,io14与j8相连。

实验连线表如下:

fpga扩展板接口

左侧板接口

i01(输入d[31:24]位)

j2(扩展板二进制开关s9-s16

i02(输入d[23:16]位)

 j4(扩展板二进制开关s17-s24

io9(输入d[15:8]位)

j5(扩展板二进制开关s25-s32

io10(输入d[7:0]位)

j10(扩展板二进制开关s40-s47

i07(输出pc[31:24]位)

j3(扩展板l17-l24

i08(输出pc [23:16]位)

j11(扩展板l42-l57

io15(输出pc [15:8]位)

j12(扩展板l58-l65

io16(输出pc [7:0]位)

m04(母板l1-l8

io188(输入寄存器时钟clk

时序单元pls1

io191(nrst

h57

io193(elp

h7

io197(pc_1

h6

io168(ir2

h5

io164(ir3

h4

io162(c

h3

io160(z

h2

io5(输入数码管数据)

j9

io6(输出数码管扫描选通)

j6

io13(输出数码管数据)

j7

io14(输入数码管扫描选通)

j8

2.充分理解pccnt.vhd。了解模型机中中断的实现原理。

3.把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验10 32位指令计数器pc实验 文件夹中的pccnt.sof程序下载到fpga。

4.按下sb1键,输出复位信号,观察32位led显示及八位八段管 (pc计数器的输出显示)是否清零;松开sb1。

5.pc+1实验:将h7(elp信号)、h6(pc_1信号)置成“1”状态,使跳转控制信号处于pc+1状态,控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

1

1

0

0

0

0

按pls1键,产生一个时钟信号,观察j1,j13,j14,j01输出的32位led及八段管dis1-dis8的显示,看看pc是否加1。再按pls1键,观察pc是否再次加1。

6.直接跳转实验: 拨动s9-s32,s40-s47,输入d的值,设置跳转的目标地址为02020202h。拨动h7位设成“0”,  使elp信号为低。拨动h4将其设成“1”,使ir3为高,将跳转控制设成直接跳转方式。控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

0

1

0

1

0

0

按pls1键,产生一个时钟信号,观察输出显示的pc值(led灯扩展板jl17-l24, l42-l65,母板l1-l8及数码管dis1-dis8),是否转到目标地址02020202h。

7.条件跳转实验1:拨动s9-s32,s40-s47,输入d的值,设置跳转的目标地址为81818181h。拨动h5,h4使ir2、ir3置成“00”,将跳转控制设置成判进位跳转方式。将h3(c)设置成“1”,表示有进位,控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

0

1

0

0

1

0

按pls1键,产生一个时钟信号,观察输出显示,看看pc是否转到d所指定的目标地址81818181h。再将h3(c)拨成“0”,表示无进位。控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

0

1

0

0

0

0

按pls1键,观察八段管输出显示,看看pc是否加1。

8.条件跳转实验2:拨动h5,h4使ir3、ir2置成“01”,将跳转控制设置成判零跳转方式。将h2(z)设置成“1”,表示累加器为零,控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

0

1

1

0

0

1

按pls1键,产生一个时钟信号,观察输出led及数码管的显示,看看输出显示的pc值(数码管dis1-dis8)是否转到d所指定的目标地址(即数码管dis1-dis8显示值与dis9-dis16显示值相同)。再将h2(z)拨成“0”,表示累加器不为零,控制信号如下表:

h7

h6

h5

h4

h3

h2

elp

pc_1

ir2

ir3

c

z

0

1

1

0

0

0

按pls1键,产生一个时钟信号,观察输出led及数码管的显示,看看pc是否加1。

实验十一   中断控制实验

一、实验要求

用计算机组成原理与系统结构扩展实验板上的开关做为输入,发光二极光及八段数码管做为输出。用vhdl语言编写程序下载到ep2c5q208中实现32位模型机中断控制功能。

二、实验目的

了解32位模型机中中断控制的工作原理和实现方法。学习用vhdl语言描述硬件逻辑。学习使用eda开发环境。

三、实验说明

32位模型机中断控制的vhdl:

library ieee; 
use ieee.std_logic_1164.all; 
entity int32 is 
port ( 
  iren : in  std_logic;   
  eint : in  std_logic;   
  icen : out std_logic;   
  ack  : out std_logic;  
  req  : out std_logic;  
  nrst  : in  std_logic;   
  i_req: in  std_logic;   
  clk  : in  std_logic   
); 
end int32; 
architecture behv of int32 is 
signal r_req, r_ack: std_logic; 
signal fatch_int: std_logic; 
begin 
  req  <= r_req; 
  ack  <= r_ack; 
  icen <= fatch_int; 
  process(i_req, nrst, eint) 
  begin 
        if (eint = '0') or (nrst = '0') then 
      r_req <= '0'; 
        elsif i_req'event and i_req = '1' then 
              r_req <= '1'; 
    end if; 
  end process; 
    process(clk, nrst, eint, iren, r_req, r_ack, fatch_int) 
  begin 
        if (iren = '0') and (r_req = '1') and (r_ack = '0') then 
      fatch_int <= '0'; 
    else 
      fatch_int <= '1'; 
    end if; 
        if (eint = '0') or (nrst = '0') then 
      r_ack <= '0'; 
        elsif clk'event and clk = '1' then 
      if fatch_int = '0' then 
        r_ack <= r_req; 
      end if; 
    end if; 
  end process; 
end behv;

在上面程序中,iren表示程序执行过程中的取指令操作。中断请求信号只有在此信号有效时(取指令时)才会被响应。ient用于在中断返回时,清除中断请求寄存器和中断响应寄存器。icen为输出信号,此信号用于控制读中断指令。ack为中断响应信号,req为中断请求信号。nrst为复位信号。i_req为中断申请输入信号。clk为时钟脉冲。

四、实验步骤

1.连线

将fpga扩展板接口io188(clk),用数据线与母板时序单元pls1连接,对应clk。

将fpga扩展板接口io191(nrst),用数据线与扩展板h57连接。

将fpga扩展板接口io193(iren),用数据线与母板二进制开关单元h7连接。

将fpga扩展板接口io197(eint),用数据线与母板二进制开关单元h6连接。

将fpga扩展板接口io168(icen),用数据线与母板微指令单元m7连接。

将fpga扩展板接口io164(ack),用数据线与母板微指令单元m6连接。

将fpga扩展板接口io162(req),用数据线与母板微指令单元m5连接。

将fpga扩展板接口io160(i_req),用数据线与母板时序单元pls4连接。

实验连线表如下:

fpga扩展板接口

左侧板接口

io188(输入寄存器时钟clk

时序单元pls1

io191(nrst

h57

io193(iren

h7

io197(eint

h6

io168(icen

m7

io164(ack

m6

io162(req

m5

io160(i_req

时序单元pls4

2.充分理解int32.vhd。了解模型机中中断的实现原理。

3.把altera下载器一端连到微机的并口,一端连接到fpga扩展区的jtag口,打开实验系统电源,把计算机组成原理32位\实验11 32位指令计数器pc实验 文件夹中的int32.sof程序下载到fpga。

4.按下sb1键,输出复位信号。将h7,h6拨到“1”的位置,使iren和eint都处于无效状态(低电平有效),将内部的中断请求寄存器、中断响应寄存器都清零使其能响应中断, 控制信号如下表:

h7

h6

iren

eint

1

1

5.中断申请:按pls4键产生中断请求信号申请中断,扩展板上m5对应的发光二极管变亮,表示有中断申请。

6.中断响应:将h7拨成“0”,也就使iren有效表示取指令操作,控制信号如下表:

h7

h6

iren

eint

0

1

扩展板上的m7对应的发光二极管灭(icen低电平有效,将中断地址送给pc),表示已经响应中断。

7.中断处理:按pls1键产生一个时钟信号,扩展板上m7,m6对应的发光二极管亮,表示取指操作取出中断处理指令来执行。

8.中断退出:将h7拨成“1”,iren置无效。将h6拨成“0”,将eint置成有效。控制信号如下表:

h7

h6

iren

eint

1

0

m7对应的发光二极管亮,m6,m5对应发光管灭,中断请求寄存器和中断响应寄存器清零,表示可以接受下一次中断申请。


📝总结

计算机组成原理领域就像一片广袤而未被完全探索的技术海洋,邀请你勇敢踏足数字世界和计算机组成原理的神秘领域。这是一场结合创造力和技术挑战的学习之旅,从基础概念到硬件实现,逐步揭示更深层次的计算机结构、指令集架构和系统设计的奥秘。渴望挑战计算机组成原理的学习路径和掌握计算机硬件的技能?不妨点击下方链接,一同探讨更多数字技术的奇迹吧。我们推出了引领趋势的💻 计算机组成原理专栏:,旨在深度探索计算机系统技术的实际应用和创新。🌐💡

(0)
打赏 微信扫一扫 微信扫一扫

您想发表意见!!点此发布评论

推荐阅读

基于FPGA的数字信号处理(17)--定点运算的实现实例(饱和Saturate与四舍五入Round)

08-01

一文看懂JTAG基本知识

08-01

课程设计——基于FPGA的双向移位寄存器

08-01

【FPGA/IC】CRC电路的Verilog实现

08-01

【Verilog HDL 入门教程】 —— 学长带你学Verilog(基础篇)

08-01

FPGA学习笔记-1 FPGA原理与开发流程

08-01

猜你喜欢

版权声明:本文内容由互联网用户贡献,该文观点仅代表作者本人。本站仅提供信息存储服务,不拥有所有权,不承担相关法律责任。 如发现本站有涉嫌抄袭侵权/违法违规的内容, 请发送邮件至 2386932994@qq.com 举报,一经查实将立刻删除。

发表评论